Get this error


kyson

Recommended Posts

I want to do a project about Xilinx FPGA Project.  When I try to run my synthesis I get this error "There are no HDL sources in file set 'sources_1'. Please use the Add Sources command." - and under the messages tab i get this error.
[filemgmt 20-2001] Source scanning failed (launch error) while processing fileset "sources_1" due to unrecoverable syntax error or design hierarchy issues. Recovering last known analysis of the source files.


 

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.