Troubleshooting Papilio Pro w\ RetroCade Wing


gtLynx

Recommended Posts

I've just received my Papilio Pro and RetroCade wing and I think I may have a bad board (wing, I think).

 

I've followed the Getting Started instructions and it looks like the Papilio Pro is flashing correctly, but the wing never displays anything on the LCD and the synth does not seem to be working.  At one point, the screen was flashing blocks, but now it just remains blank (but backlit).  I've reflashed\reset\reseated and can't seem to get anything to work.

 

It seems like the flashing is working as I get the following at the end of the process:

Using devlist.txt
ISC_Done       = 1
ISC_Enabled    = 0
House Cleaning = 1
DONE           = 1

 

Does anyone have any suggestions for troubleshooting the Papilio Pro and\or wing.  I'd love to narrow my problem down, but am not sure where to start.  Is there another sketch I can flash to the Papilio to verify that it is working correctly?  

Link to comment
Share on other sites

Hi Jack - 

 

No worries.  Hopefully, you had a nice, relaxing vacation.

 

I did write the bit file to SPI Flash.  Is there a simple bit file I can use to confirm that the Papilio Pro is working correctly?  I'm fairly certain everything is fine with the PP.  It seems like the RetroCade wing is acting up as it's behavior has changed.  It was flashing blocks at one point, but now nothing appears on the LCD.

 

Cheers,
Mark

Link to comment
Share on other sites

Mark,

 

Are you using Papilio Loader 2.7? It appears that there is a bug that just came to light that unless you change to expert mode and select "Delete, Program, Verify" it will not properly program to SPI Flash. I suspect this is what you are running into. Writing to SPI Flash from Simple Mode appears to work correctly but does not in fact properly do the job.

 

Jack.

Link to comment
Share on other sites

Hi Jack - 

 

I am using Papilio Loader 2.7.  I just tried using expert mode and am getting the same result (LCD is on, but nothing displaying.).  I copied the log below in case that helps with troubleshooting.  

 

What kind of behavior should I be seeing from the LEDs on the Papilio Pro?  When first plug it in, I see PWR lit red and LED1 lit solid green.  During programming, the green light turns off and stays off until I hit the reset button or power cycle the board.

 

Log File:

JTAG chainpos: 0 Device IDCODE = 0x24001093 Desc: XC6SLX9
Using devlist.txt
JTAG chainpos: 0 Device IDCODE = 0x24001093 Desc: XC6SLX9
 
Uploading "C:\Program Files (x86)\Gadget Factory\Papilio Loader\programmer\bscan_spi_xc6slx9.bit". DNA is 0xb9c0605a1ae5eaff
Done.
Programming time 578.1 ms
Erasing External Flash Memory.
Found Macronix Flash (Pages=32768, Page Size=256 bytes, 67108864 bits).
Erasing    :
............................Ok
Verifying  :
Using devlist.txt
................................................................................................................................Pass
Done.
SPI execution time 59241.7 ms
USB transactions: Write 32978 read 32809 retries 0
Link to comment
Share on other sites

try putting the papilio-prog, bscan_spi_xc6slx9.bit and the bit file you are trying to load in the same folder 

and running the following commandlines

 

replace the {bitfileFilename} below with the filename for the bitfile you are trying to load.

if there are spaces in the filename you will need to use " on each side of the filename.

 

papilio-prog -v -f {bitfileFilename}  -b bscan_spi_xc6slx9.bit -sa  -r
papilio-prog -c
 
its not strictly necessary to copy the files to the same folder but
saves having to type out long paths on the commandline
Link to comment
Share on other sites

 

Hi Jack - 

 

I am using Papilio Loader 2.7.  I just tried using expert mode and am getting the same result (LCD is on, but nothing displaying.).  I copied the log below in case that helps with troubleshooting.  

 

What kind of behavior should I be seeing from the LEDs on the Papilio Pro?  When first plug it in, I see PWR lit red and LED1 lit solid green.  During programming, the green light turns off and stays off until I hit the reset button or power cycle the board.

 

Log File:

JTAG chainpos: 0 Device IDCODE = 0x24001093 Desc: XC6SLX9
Using devlist.txt
JTAG chainpos: 0 Device IDCODE = 0x24001093 Desc: XC6SLX9
 
Uploading "C:\Program Files (x86)\Gadget Factory\Papilio Loader\programmer\bscan_spi_xc6slx9.bit". DNA is 0xb9c0605a1ae5eaff
Done.
Programming time 578.1 ms
Erasing External Flash Memory.
Found Macronix Flash (Pages=32768, Page Size=256 bytes, 67108864 bits).
Erasing    :
............................Ok
Verifying  :
Using devlist.txt
................................................................................................................................Pass
Done.
SPI execution time 59241.7 ms
USB transactions: Write 32978 read 32809 retries 0

 

 

Yes, it is not doing the full programming cycle it is supposed to do, that is the problem here. I'm working on a new Papilio Loader release to fix the issue. Stay tuned.

 

Jack

Link to comment
Share on other sites

  • 3 weeks later...

Archived

This topic is now archived and is closed to further replies.