Where is the reset


WoWPro71

Recommended Posts

I believe that's the wrong pin [in reference to a question about the clock input, and pin P55, that has been edited out I think].  The Papilio DUO generic UCF file has the following:

NET CLK      LOC="P94"  | IOSTANDARD=LVTTL;                                     

TIMESPEC TS_Period_1 = PERIOD "CLK" 31.25 ns HIGH 50%;

P55 seems to be one of the external pins on the board.
 
Generally finding out what pins map to what, I rely on the published UCF files from this site, and then if there's a confusion I refer to the schematic.  Note that there is more than one set of pin numbers (FPGA, and board) and more than one kind of thing termed "clock" (SPI bus clock signal for example).
 
I don't see the references that identify P55 as clock or P38 as reset.
 
  • Like 1
Link to comment
Share on other sites

9 minutes ago, Jaxartes said:

I believe that's the wrong pin.  The Papilio DUO generic UCF file has the following:

NET CLK      LOC="P94"  | IOSTANDARD=LVTTL;                                     

TIMESPEC TS_Period_1 = PERIOD "CLK" 31.25 ns HIGH 50%;

P55 seems to be one of the external pins on the board.
 
Generally finding out what pins map to what, I rely on the published UCF files from this site, and then if there's a confusion I refer to the schematic.  Note that there is more than one set of pin numbers (FPGA, and board) and more than one kind of thing termed "clock" (SPI bus clock signal for example).
 
I don't see the references that identify P55 as clock or P38 as reset.
 
 
 

i was mistaken the reset is 37 not 38. i opened someones UCF file and its indeed P94 for the clock. now i only need to find a way to use the reset button that is on the board.

Link to comment
Share on other sites

The "FPGA reset" pin resets this FPGA itself.  So maybe the Xilinx software doesn't let you use that pin.  There wouldn't be any purpose in using it, since your logic wouldn't be running while the FPGA is being reset.

So you'd need to find an alternative.  Some that come to mind:

  • If you've got a shield board plugged into the Papilio DUO, and that shield board has one or more buttons, you can use one of the buttons as your reset.
  • There's a slide switch on P104.  If you're not using it for anything else, you could use it as a reset.  It's a little inconvenient to use a slide switch for that, because you'd have to move the switch twice (once to reset, and once to stop resetting).
  • It's also possible to write some logic that processes the switch state, so that every movement of the slide switch generates a reset.  It's not trivial but it's fairly simple.  The easiest way for me to describe the logic is to write it in Verilog; here goes:
    module reset_finder(input clk, input slide_switch, output switch_moved);
        reg slide_switch_delayed = 1'd0;
        always @(posedge clk) slide_switch_delayed <= slide_switch;
        assign switch_moved = slide_switch != slide_switch_delayed;
    endmodule

 

Link to comment
Share on other sites

You cannot use the reset pin from a circuit running inside the FPGA, it is only meant as an external reset.

Your options are to build reset functionality into your VHDL code and assign that reset functionality to a button attached to a GPIO pin on the FPGA. This is the most common practice.

Or you can use the Global Reset option of the startup_spartan6 primitive as detailed in this forum thread:

 

Jack.

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.