Search the Community

Showing results for tags 'papilio duo'.

  • Search By Tags

    Type tags separated by commas.
  • Search By Author

Content Type


Forums

  • Community
    • Gadget Factory Reboot 2022-2023
    • Gadget Factory
    • Documentation
    • FPGA Discussions
    • Community Projects
  • Soft Processors
    • Migen/LiteX/Risc-V
    • ZPUino
    • J1 Forth
    • AVR8 Soft Processor
  • Electronics
    • Modules
  • Papilio Platform (Retired)
    • Papilio General Discussion
    • Papilio Pro
    • Papilio One
    • Papilio DUO
    • Papilio Wings
    • DesignLab IDE
    • DesignLab Libraries
    • RetroCade Synth
    • Papilio Arcade
    • Papilio Loader Application
    • Papilio Logic Sniffer
    • Pipistrello
    • Retired
  • Open Bench (Retired)
    • Open Bench Logic Sniffer at Dangerous Prototypes
    • OpenBench Logic Sniffer at Gadget Factory
  • GadgetBox Universal IoT Hardware (Retired)
    • GadgetBox General Discussion
  • Gadget Factory Internal Category

Categories

  • Papilio Platform
    • Papilio One
    • Papilio Plus
    • Papilio Wings
    • LogicStart MegaWing
    • ZPUino
    • Papilio Pro
  • Papilio Arcade
  • RetroCade Synth
  • Logic Sniffer
  • FPGAs
  • DesignLab
    • Example Projects
    • Libraries

Categories

  • Papilio FPGA
    • Papilio UCF (User Constraint) Files
    • Papilio Bit Files
  • Papilio Arcade
  • RetroCade Synth
  • General
  • Beta (Test) Releases
  • Books

Find results in...

Find results that contain...


Date Created

  • Start

    End


Last Updated

  • Start

    End


Filter by number of...

Joined

  • Start

    End


Group


AIM


MSN


Website URL


ICQ


Yahoo


Jabber


Skype


Location


Interests

Found 11 results

  1. Hallo, I have simple problem with the output of signal in the schematic of Xilinx. I've started Xilinx from the simple default Blink file and wand to connect the clk_96Mhz from the ZPUino Soft Processor to D12 of the Pinout. Everything I tried is going to be an error. Can someone explain me how to do this; ore have a good “tutorial” which is using the Papilio. I want to do this in the schematics to understand how to do this.
  2. Hi, I'm working on a project to get a C64 emulator running on the Papilio DUO. I have a working setup using uno2iec program to emulate the disk drive, but this still requires a laptop connected to the board. I found a project named sd2iec, that uses the SD card to load disk images of, but the compiled program is too big to fit on the ATMega32U4 together with a bootloader. So I need a way to program the AVR directly. I Googled for documentation on how to use the bootloader program routine to upload my software as well, but couldn't find any. Is there a way to program the AVR on the Papilio DUO without bootloader via USB using for example avrdude? Kind regards, Paul
  3. Hey all! I'm a graduate student in chemical engineering at UC Berkeley and as a side-project, I'm exploring the possibility of implementing various types of process controllers on FPGAs. My home operating system is Ubuntu and I had a heck of a night scraping together bits and pieces of advice from across the Internet to get Xilinx ISE and Papilio Loader set up and successfully writing bitfiles to the FPGA, so this morning I wrote up a little how-to guide: https://github.com/brandoncurtis/fpga This is just a starting point, and I hope to provide step-by-step guides to more advanced projects as I learn them. I'm particularly interested in updating the examples in the excellent but venerable Intro to Spartan FPGA eBook to run on the Papilio Duo and whatever other hardware I can get my hands on, and enable a side-by-side comparison of VHDL and Verilog for anyone who's interested in learning both. I'll improve the setup guide by trimming unnecessary steps just as soon as I have the opportunity to do a fresh install on another machine. In the meantime, I'd love feedback! If you're using Ubuntu, let me know these steps are working for you or if you know of comprehensive guides elsewhere.
  4. If I want to use the FTDI Port B of the FPGA USB port as a serial to USB converter connected to a PC, I understand that I have to use signals BD0 (TXD), BD1 (RXD), BD2 (RTS) and BD3 (CTS) mapped to pins 46, 141, 140, 138 of the FPGA (for full hw handshake). Am I correct ? Is there any interference with the normal Papilio Loader programming ? Regards, José Luis.
  5. Hi folks, I wanted to share with you my recently completed Project using the awesome Papilio Duo platform wit the Classic Computing Shield. It's a migration of Grant Searle's brillant work called MULTICOMP, consisting in a flexible FPGA based architecture for implementing old style 8 bit Retro-computers using Z80, 6502 & 6809 "Soft-core" CPU's. Below is the link to the Showcase article on this site. Cheers, José Luis.
  6. Version 1.0

    602 downloads

    The Computing Shield provides all of the hardware needed to recreate computing systems on the Papilio DUO.
  7. rmb

    I2C

    Hi I would like to communicate with MCP4725 DAC from Papiio DUO through I2C. Is it possible to use I2C library or it could work only with WII Chuck? Thank you rmb.
  8. Hi, I'm very new here so please forgive me if I ask stupid questions. 1 - I recently brought a RetroCade someone was selling on eBay for £68.60 ($106.26 I'm not even sure if I paid a fair price?). Sadly thought the screen is damaged please can someone tell me the cheapest place to buy a new one in the UK (or EU online) and what exactly to buy, could I replace it with a RGB equivalent as the blue light hurts my eyes a bit and it's nice to adjust the colour? 2 - Would the RetroCade work with the Papilio DUO? 3 - I purchased this having read this: http://www.gadgetfactory.net/2013/10/retrocade-v1-1-c64-sid-analog-filters-are-here/ I am guessing the since that was posted in 2013 the Mac software will be out by now, where can I download it? SF.
  9. Hi all, I received my Duo last week, and this weekend I've been porting the Acorn Atom FPGA design to run on it. Here's the end result: You can get more details from the thread over at stardot.org.uk. This design currently uses an AVR Soft Processor to run the AtomMMC software stack for the SDCard interface. My next step is to try switching to the ATmega32U4, which will free up quite a lot of FPGA resources. All of the VHDL code is on github: https://github.com/hoglet67/AtomFpga There is also a Duo bit file: https://github.com/hoglet67/AtomFpga/blob/master/Atomic_top_duo.bit If you want to try this out, you'll need the Atom Software Archive. Download AtomSoftwareArchive_20140817_V7.zip from the above thread, unzip it onto a blank FAT32 format MicroSD card, pop in into the Duo and hit Shift-F10 (F10 is mapped to the Atom Break key). Here's a screenshot of the Menu system help page: Search (S) is the single most useful way of finding a specific program, once you know what it's called. GALAXIAN (Bug Byte) is a good program to try first! Please let me know if you manage to get this working, either here, or over on the stardot.org.uk forums. Dave
  10. Version 1.0

    790 downloads

    The LogicStart Shield provides everything needed to get started with VHDL and FPGA development on the Papilio with one convenient and easy to connect circuit board. Note: The LogicStart Shield requires the Papilio DUO board.
  11. Version 1.0

    1,827 downloads

    The Papilio DUO is a FPGA on the top and Arduino on the bottom. This is the ucf file which maps the FPGA pins for the board.