Search the Community

Showing results for tags 'papilio pro'.

  • Search By Tags

    Type tags separated by commas.
  • Search By Author

Content Type


Forums

  • Community
    • Gadget Factory Reboot 2022-2023
    • Gadget Factory
    • Documentation
    • FPGA Discussions
    • Community Projects
  • Soft Processors
    • Migen/LiteX/Risc-V
    • ZPUino
    • J1 Forth
    • AVR8 Soft Processor
  • Electronics
    • Modules
  • Papilio Platform (Retired)
    • Papilio General Discussion
    • Papilio Pro
    • Papilio One
    • Papilio DUO
    • Papilio Wings
    • DesignLab IDE
    • DesignLab Libraries
    • RetroCade Synth
    • Papilio Arcade
    • Papilio Loader Application
    • Papilio Logic Sniffer
    • Pipistrello
    • Retired
  • Open Bench (Retired)
    • Open Bench Logic Sniffer at Dangerous Prototypes
    • OpenBench Logic Sniffer at Gadget Factory
  • GadgetBox Universal IoT Hardware (Retired)
    • GadgetBox General Discussion
  • Gadget Factory Internal Category

Categories

  • Papilio Platform
    • Papilio One
    • Papilio Plus
    • Papilio Wings
    • LogicStart MegaWing
    • ZPUino
    • Papilio Pro
  • Papilio Arcade
  • RetroCade Synth
  • Logic Sniffer
  • FPGAs
  • DesignLab
    • Example Projects
    • Libraries

Categories

  • Papilio FPGA
    • Papilio UCF (User Constraint) Files
    • Papilio Bit Files
  • Papilio Arcade
  • RetroCade Synth
  • General
  • Beta (Test) Releases
  • Books

Find results in...

Find results that contain...


Date Created

  • Start

    End


Last Updated

  • Start

    End


Filter by number of...

Joined

  • Start

    End


Group


AIM


MSN


Website URL


ICQ


Yahoo


Jabber


Skype


Location


Interests

Found 11 results

  1. Hello, I'm a newbie in the FPGA, and I'm managing with the Papilio Pro Board. The problem is I can't make the SDRAM work correctly. I was trying to use the Hamster's SDRAM controller, and did as he describes, but I get weird results. First, sometimes it reads with random mistakes (though it might be a write problem, I don't know) Second, sometimes during sequential read it reads first 3-4 values the same and only then starts to increment address. Could you please advise some VHDL code example of how to explore the Hamster's SDRAM controller (or any other)? Hamsterworks wiki site doesn't work for some reason so I can't read his explanation once more... Kind regards, Sergey
  2. Hello, I've just returned from DConf 2017, in Berlin. There I gave a talk on how to use an extension of the D programming language (DHDL) to design hardware. I showed a demo of Classic Empire, a game written by Walter Bright (the original creator of D), running on a Papilio Pro, inside a soft-core RISC-V CPU, plus my own handmade "wing" IO accessories and respective controller IP blocks (VGA, 7-segment, sound, etc.). You can see a quick demo below: You can also see the full talk, if it sparks your interest: Thanks to the generosity of GadgetFactory, we raffled a Papilio Pro and some accessories to the participants of the talk: Gauging from his reaction, Vang Le was very surprised and happy to be the winner, and he's looking forward to exploring the world of FPGAs. In my demo I loaded the binary code for the game through the USB/UART, using a custom utility. In the next few months I plan to further tweak this demo, so that the game code is loaded from flash and it works with standard GadgetFactory wings. When that is done, I'll provide the bit stream files for the combined hardware design + the game. Walter Bright has indicated that he would provide permission for the FPGA version of the game to be distributed freely, so GadgetFactory could use it for its showcase. Later, I will provide the source code for my whole setup; I used the LDC 2 D compiler with the (old) LLVM RISC-V backend, and I had to workaround a lot of bugs of invalid RISC-V code. When the new LLVM RISC-V backend is released I expect all of that to be alleviated or completely fixed, which will help with the release of the complete demo setup. I'll keep providing updates and feedback here on the GadgetFactory forums. You can also follow me on Twitter (@Luis3m), or email me if you have any questions (http://www.luismarques.eu/about). Also, a shout-out goes to Mike Field, whose book / tutorial helped me get started with FPGAs and hardware design. I shared the love for his book with some conference participants :-) So long, Luís
  3. Hello, Do you have a .bit file for the Papilio Pro + LogicStart that plays some audio? Something weird is going on here, and starting with a known good .bit file would help Thanks, Luís
  4. Hi all, over the last half year I have implemented a processor and surrounding SoC bringing the RISC-V ISA (http://riscv.org) to the Papilio Pro. It implements the 32Bit integer subset (RV32IM). The project is hosted on Gitub (https://github.com/bonfireprocessor). It still needs some additional documentation, cleanup and ready-to-run ISE projects to make it easy reproducable for others. But I post this link now, to find out if anybody is interested in my work. I will soon also post a bitstream here so anybody with access to a Papilio Pro can play with it. I have also ported eLua to it http://www.eluaproject.net @Jack: If you like I can also present the project in the GadgetFactory blog. Regards Thomas
  5. Hi All, I just got my new Papilio Pro and I was trying the examples from DesignLab. I've been playing around with different examples (Audio, VGA, etc.) and they work fine. But when I run any of the VGALiquidCrystal examples thye are just displaying two rows of green squares but no text in there. The following screenshot (LCD_Demo.jpg) shows the results I get from the "LCD_Demo" example. The VGA demos work fine (see screenshot - VGAHelloWorld.jpg) Just fyi, I'm not using any Wing, I'm just connecting 6 pins in the CH Wing2 port to the VGA cable (V-SYNC, H-SYNC, R, G, B, GND) as in the screenshot - DirectPinout.jpg. I am wondering if I am missing anything here. Do I require to connect anything else? Working with a real Liquid Crystal display needs to connect a couple of signals (rs, enable, data, etc.) but is it also necessary in this modified version? If not, what do the pins in the VGALiquidCrystal() call mean? Regards, Andres
  6. I just dusted off my RetroCade MegaWing and wanted to try the 1.3 Synth code, but I'm finding that things don't seem to be compiling in DesignLab. I tried the loading a newer example from GITHUB, and loading the bit file, but it does not get very far. It has been a while since I have used DesignLab, but I recall that I had this working smoothly in the past. That was on an earlier version of DL, and the RetroCade code was 1.1. Has anyone had the same problem using the RetroCade with a Papilio Pro?
  7. I recently purchased a Papilio Pro together with a Logic Start board. Whenever I try to send a compiled example program to the board I get the following error message; Board: GadgetFactory Papilio Pro LX9 @ 96000000 Hz (0xa4041700) Board mismatch!!!. Board is: 0xa4041700 'GadgetFactory Papilio Pro LX9' Sketch is for: 0xb4041700 'GadgetFactory Papilio Pro LX9 (ZPUino 2.0)' My conclusion is that the supplied board has version 1 of the ZPUino installed and not the latest version as I would have expected. How do I install ZPUino version 2.0.
  8. Hi there, I'm on Ubuntu 14.04 and after much faff trying to get my PP to work, I think it is knackered. I get this when programming: Programming to SPI FlashUsing built-in device listCannot find device having IDCODE=00010440Unknown Papilio BoardUsing built-in device listCannot find device having IDCODE=00400400IOException: Cannot open file USB transactions: Write 4 read 3 retries 0Error while burning bitfile. The IDCODE fields seem to be random when I run papilio-prog several times. I assume that means the FTDI is mangling the serial data? Any ideas? I've also got a DUO. Works perfectly with this same setup. I tried installing the linux 'drivers' but these seem to just install libftd2xx which isn't even linked against the papilio-prog (which uses open source libfdti) AND doesn't contain a linux kernel module either so I don't understand their relevance to anything. It does have a libusb in there though. Haven't tried that, get the feeling it's not going to help things.. The default FTDI drivers in Ubuntu work fine after running ubuntu-setup.sh, with the Duo. Minor note: Think it would be a good idea to remove all references to ftdi_user.sh. Spent a while searching the net for this script before discovering somewhere it is now ubuntu-setup.sh. Error msg in DesignLab 1.0.7 still refers to ftdi_user.sh too. Kind Regards,Chris
  9. Hi everybody I'd like to supply the Papilio Pro (with the Retrocade MegaWing) with a battery. Do I have to solder two cables next to the USB port ? Which voltage would you recommand ? 4.5V ? 6V ? 9V ? Thanks
  10. Hi! I am trying to use a Papilio Pro to run a stepper motor since the Teensy, Arduino microcontrollers proved insufficient for my purposes. I am interfacing the Papilio pro with the L6470 IC which is going to be the motor driver. My main problem is this - I am keen on using a Python module to control the stepper motor but I have no clue as to how to go about writing the code for the Papilio Pro which will be the board that will receive the bits sent via the Python code and will reroute it through the IC which will translate that in to stepper motion. The bits here are basically my position, speed values, etc. I have plans for sending entire waveforms, say, to make the motor oscillate between two positions and so on. I was wondering how I should go about this project?
  11. Version 1.1

    5,481 downloads

    The Papilio Pro board implements SDRAM instead of SRAM. It also has switching voltage regulators which means no heat will be generated on the board. This board is still in prototype stage.