Filip

Members
  • Posts

    34
  • Joined

  • Last visited

  • Days Won

    3

Everything posted by Filip

  1. I am interested although I have no Idea of Forth programming, but there are examples on http://www.excamera.com/sphinx/fpga-j1.html In what form were your slides ? Filip
  2. Hey Jack, rx,tx were on the right pins ... but : 1. in arduino - one can write "if (Serial.available() >= 2)" , for the ZPUno the available() function is only showing if only one byte has arrived. (this is not documented). That is because the Fifo has only signals for full or empty. When I find time I will try to change that. 2. the 5V tolerant pins produced much interference to the data (first I tried them), and some weird error that I had to reset the FPGA by Hand after power up. 3. with pins 22,23 .... I managed the connections, but due to much interference and not a proper shielded cable I gave up on this Idea. The problem was I can not trust the data and have to implement some checksum . Maybe the UART is also too sensitive ? .. Filip
  3. Hello, at last I have time to play with FPGAs .. I wanted to connect arduinos trough serial to the Duo which then talks to the PC. I used the DesignLab example for "Multiple serial ports " and looked also in http://gadgetfactory.net/learn/2013/11/15/papilio-schematic-library-10-serial-ports/ the Duo can talk to itself via the UARTS , but when I connect an Arduino (to uart 1 or change the code ..)there is no communication. I have only one Duo can someone else test ? Ediit : int inByte = 4;Serial1.write(inByte);Serial write works only with a constant , but print does not work at all. thanks, Filip
  4. Hello Kims, some of the libraries which come with Design lab were created with ISE 14.3 .. this are just warning and in this case you can ignore them. as for do you open a design lab project or it happens with every ISE project ? You should open design lab projects through design lab since it sets Paths and working space for ISE. Can you post your File->preferences -> ISE location path ? Filip
  5. Hello Tom, this is really cool. I would like to buy one. Wold you inform us here when ready ? thanks, Filip
  6. Hello mpcour, the PS2 example is software which can run both on the AVR chip and on the ZPUno. There is a circuit because the ZPUno is a soft- core/processor and by hitting the load circuit button you upload the ZPUno, after that you should upload the PS2 example code with the Upload button. There are more detailed tutorials and videos on http://gadgetfactory.net/learn/ As for the actual uploading I have also problems with this release. - https://github.com/GadgetFactory/DesignLab/issues/30 Filip.
  7. I read it is for a synchronous system : text from book binary counter example (after table 4.1) some more text Filip.
  8. Hello Jack, Lately I have little free time to spend on fun thing, but - with the delay () the mouse is working and if I held down a key on keyboard it gets really "interesting" .. I will implement the HDL controller and test the timer or use the avr bridge. Filip
  9. Hello Jack, Alvie, today I had some free time, and made (added keyboard functions to a mouse library ) an "Arduino library working on standard GPIO pins of the AVR and ZPUino". BUT since it has to work on all GPIO pins I can't use interrupts and have to use delay ().. I will test it tomorrow and I think it will work, but I really don't thing the usage of delay () here is good. I thought of using the FPGA and for the AVR Bridge (although it uses SPI which is maybe overkill ). What do you think ? Alvie what do you mean by "... it's already integrated" ? In the ZPUno 2.0 which is in Designlab 1.05 ? How can I figure out on which slot it is ? thanks. Filip
  10. Filip

    pwrin pins

    Thanks Jack, will test my converter first , when I find some free time
  11. Filip

    pwrin pins

    Hello, Till know I power the board via the FPGA_usb port and the jumper. As far as I understand if I put 5V and GRD to the pwrin pins (and don't connect usb cables) I can provide power without worrying for the jumper position? If I leave the jumper unconnected (and provide power trough pwrin) can I still use the usb ports for UART debugging? Filip.
  12. This is a library for Designlab and Papilio Duo. The decoder module can have up to 4 encoders. For example 4 wheels on a mobile robot platform. Optionally this can be use with a PID regulator for controlling current position, velocity, and direction of an object. - The shown pins are totally optional - By default the Avr chip is disabled Download: Quadrature_decoder.zip