hroyster

Members
  • Posts

    13
  • Joined

  • Last visited

Everything posted by hroyster

  1. As a follow up, I noticed that the ucf callout for the usb_tx and usb_rx seemed to be reversed from the pro ucf so I switched them (not sure about why this worked). When I did, the arduino programmer did not error on the missing core but generated the following error which looks like it found the core but did not see what it wanted to see. error - Board: Unknown board @ 96000000 Hz (0xb4010f00) Cannot program flash on non-sector boundaries! I thought the Bram flash ( i assume this is the program space in the bram flash) would be placed in the same place in the core but maybe not - there must be some ID issue. I have attached the project if any one has a chance to take a quick look. Thanks again for any help. Howard Flash_SD_2.7z
  2. I have built a special papilio that is a clone of the papilio pro. It also has spansion flash chip that shares the address and data lines with the SD memory. I want to create a bram only zupino core that I load into the pro like board. This will free the address and data lines of the SDRAM. If I de select the SDRAM on the new board and select the spansion flash, I can then use i/o registers to transfer files from the SD card on the CL wing to the spansion flash. Then I will load the actual xilinx program that uses the flash directly with no papilio core. Is there a straight forward way to do this? My first attempt was to modify the papilio 500 project with SD cl wing and modify the ucf file to correctly connect to the pro Hardware. The xilinx loaded and verified, but the arduino load operation could not find the bram core?? error (Cannot get programmer version, aborting) I figured someone else may have tried this obvious modification of loading the smaller zupino bram only core on the pro board, but I couldn't find a reference in the forum search. Thanks in advance for any help. Howard Royster
  3. Jack, Still not sure about the flex commented out. The project built and I got some activity on the Serial.print(Robot_Control_Library.getPulseCount(0)); function. It looked like it only reads the transitions of one of the encoder inputs(the count changes only after 2 clicks of the rids unit). I put in the following c statements to read the actual I/O of the input pins. Serial.print("Encoder A is "); Serial.println(digitalRead(4)); Serial.print("\Encoder B is "); Serial.print(digitalRead(5)); My encoder is connected to the AL pins 4 and 5. I get each of the input transitions from 1 to 0 but I only get a count advance/decrement on every 2 transitions Pulse Count 0: -22865 Encoder A is 0 ncoder B is 1 Pulse Count 0: -22865 Encoder A is 0 ncoder B is 0 Pulse Count 0: -22865 Encoder A is 0 ncoder B is 0 Pulse Count 0: -22865 Encoder A is 0 ncoder B is 0 Pulse Count 0: -22793 Encoder A is 1 ncoder B is 0 Pulse Count 0: -22793 Encoder A is 1 ncoder B is 0 Pulse Count 0: -22793 Encoder A is 1 ncoder B is 1 Not sure if I know enough to dive into the cpp coding. I will probably just read the pins in a loop and decode the count and direction from a SW state machine. Hope this feedback helps others. Thanks again, Howard
  4. Jack, I found that the component Papilio_Default_Wing_Pinout vhd had the flex connections commented out (this may have been a result of my many thrashings to get a version of the vga test running). I am re compiling without error on the rtl synth. I will see if it works. Not sure if I modified the component, but I do not want to waste your time. Thanks again, Howard
  5. Jack, I have move forward in my design and added arotary encoder to make a variable input to the papilio. I started by using the encoder wing example from the Robot_Control_Library. All went well but when I synthesized it, and error pop up that the flex connections in the Papilio_Default_Wing_Pinout component did not match the library. It reads "ERROR:HDLCompiler:1156 - "C:\_Data\Papilio\Projects\Encoder_Wing3\circuit\LX9\Papilio_Pro.vhf" Line 211: Formal port <Flex_Pin_out_0> does not exist in entity <Papilio_Default_Wing_Pinout>. Please compare the definition of block <Papilio_Default_Wing_Pinout> to its component declaration and its instantion to detect the mismatch. Having cleaned out the references to old libraries, what could the mismatch be. I am making no modifications to the example. Thanks again for all the help. Howard
  6. Jack, Thank you for the positive feedback. I wil begin to adapt the Mac design to the Papilio in a few weeks. My concern is some of the timing changes moving from the 50Mhz 8080 to the 96Mhz papilio. I will send more feedback later, probably better off in a new thread. A little more update. I re implemented my test project with the schematic editor, and got it running very quickly. It validated when I downloaded the bit file. One question though. I did not know what to connect the the Flex circuit wires in the Papilio_Default_Wing_Pinout. It also looks like there was a mismatch of the component as the library showed the flex circuit was commented out in the vhdl of the Papilio_Default_Wing_Pinout. Is there a way to complete the flex when it is not connected to anything?? Thanks again. Howard
  7. Jack, Excellent !!! Worked like a charm. I will explore the schematic control of the designs now that I am free to edit and compile. Hoped I helped some others as well. Early adopters would have had the same problem as I had. I wanted to quickly give some background on my interest and current project with the papilio. 8 years back I started building a large aperture telescope mount - 1 meter To control it I developed it using the opencores 8080 verilog core. I built up a 50MHz processor running digital research pascall from the 80's. After debugging the processor, I added macs and a national Phy and implemented 100 Mbit ethernet. For an 8080, this thing screamed. I ran it on the digilent spartan 3 board with the 1000 chip in it. I am able to control cameras and digitize and transmit the imagery to a central PC. My plan is to now replace the 8080 with your much more code friendly papilio board when I add the Mac and Phy.(it already with do all the telescope control) The telescope can be seen on my fledgling website eyetothesky.com I mention this because if someone is already working on a mac for the papilio, I do not want to recreate the wheel. Again, my praise to your effot on this platform. It is clearly the brightest link I can find to parctical integration of an idE that FPGA and Arduino experimenters can use. Howard
  8. Jack, OK Now I know where the incorrect references are coming from. I have tried to remove the symbol libraries, but with no success. The tool will not let you simply remove those references, and even I remove each of the categories and components in the tool, it still generates an incorrect bus config(147 to 200 mismatch). I even tried removing the symbol files altogether, but still no success. It seems that only if you point it at another valid symbol library will it let go of the exisiting ones. I continue (over years) to have configuration difficulties with xilinx tools of this nature. If you have a known sequence to remove this library, I will try it. I have converted the top level module to VHDL(renamed vhf file) and am able to make changes to the core configuration at will. I will continue to try and get the schematic editor to work, but as in the past I have battled xilinx tool errors in the past to no avail, I will not break my pick on this one either, unless you or some one else in your network has a formula for disconnecting the symbol library as you suggest. I would like to comment positively, however, on your efforts to develop an integrated tool for soft cores and embedded code for FPGAs. You and your associates work are nothing less than brilliant!! I believe the future to be embedded processors in FPGA fabric. After all, Intel just acquired Altera - that says it all. And the flexibility of the papilio family is well thought out. Thanks again for the help. Howard Again,
  9. Jack, I cleaned out the libraries from the prior installations. Further scrutiny reveals that the problem in my setup is not the VHDL repositories. I was able to directly modify the derived Papilio_Pro.vhf that is built by compiling the .sch file (which is from the DesignLab-1.0.7 library). I was able to compile the project, download the bit file to the pro board, and run my sketch (it reads files from the SD and plays an animation sequence on a VGA monitor. It is quite fast even though it is writing every pixel from code. Below are the 3 entries in the Symbol Library Manager under the Tools section of the XISE C:\DesignLab-1.0.7\examples\00.Papilio_Schematic_Library\Libraries\Xilinx_Symbol_Library\Papilio_Schematic_Library.lib C:\DesignLab-1.0.7\libraries\ZPUino_2 C:\DesignLab-1.0.7\examples\00.Papilio_Schematic_Library\Libraries\Xilinx_Symbol_Library\Papilio_Schematic_Library.cat I tried from scratch the following: Opened Design Lab 1.0.7 Opened the examples-gfxdemo sketch Pressed edit to create modifiable new project directory gfxdemotest XIse opens at the new project directory Checked the vhdl paths and the schematic paths, all point to Design Lab 1.0.7 Highlight the top gfxdemo1.sch file and press synthesize Get errors with the bus dimension incorrect. I still have trouble with the .vhf file not being directly compiled correctly. Have I still missed something? This is not such a big deal for me, because I predominantly use top level VHDL files. I would like to be able to use the schematic entry in the future. Thanks again for any help. I would like to find out where my error is coming from?!? Howard
  10. Jack, I will clean out the old libraries, but I did check each of the VHDL references in the project and they all point to the repositories from DesignLab-1.0.7. Could they still be wrong? Howard
  11. Thank you Jack for the quick reply. Yes, I have the old zap versions and libraries associated. I will remove them. I did open the files directly with DesignLab and use the edit circuit feature of the arduino style interface to open XISE. I will remove the files and try again. While waiting I also used the RTL feature of ISE to see what the mismatches were. The old zupino Papilio pro had different bus dimensions. Was this to make room for the Duo? Thanks again, Howard
  12. I am relatively new to the Design Lab use. I get the following error when I try to compile the examples in Xilinx ISE. The following error messoge comes up. "ERROR:HDLCompiler:410 - "C:\_Data\Papilio\Projects\Multiple_Serial_Ports\circuit\LX9\Papilio_Pro.vhf" Line 223: Expression has 148 elements ; expected 201" It looks as though there is a mismatch in the bus dimensions. Am I doing something wrong? I chose papilio pro from the board selector. I tried several of the examples and the bus mismatch still remains. Thanks in advance for any help.
  13. I am a newbie to this board, but a long time embedded FPGA softcore programmer .. Relating to Jack's latest post, I think that the comparison is dependent on the task that is being accompliished. My comparison benchmark was to implement an SD card reader for jpg files on the Papilio Pro and show them in sequence for animation. I used the gfx library and the jpeg decoder to store the images in RGB arrays and then sequenced through them. The animations are quite fast with no apparent jitter. There would be no equivalent comparison of a regular Arduino because the chip could not connect to the address and data buses of the Ram except through GPIO registers, and if implemented, the results would be rather dismal. If there were a way to get fast graphics/animations on a DUO without using the Zupino, I would be all ears.