Quadrature Decoder


Filip
  • Keep track of a quadrature encoders with the ZPUno and Papilio Duo

This is a library for Designlab and Papilio Duo. The decoder module can have up to 4 encoders. For example 4 wheels on a mobile robot platform.

Optionally this can be use with a PID regulator for controlling current position, velocity, and direction of an object.

BorvXWB.png

- The shown pins are totally optional

- By default the Avr chip is disabled

Download:

Quadrature_decoder.zip


Download Source Code
Source Code Link

  • Like 2
  Report Article



User Feedback




Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.

Guest
Add a comment...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.